Intel: Analyzing The Challenge Of Meeting Its Five Nodes In Four-Year Plan
Summary:
- Intel’s shares fell 9% after reporting mixed Q1 results and providing a weaker-than-expected outlook for the coming quarter.
- Intel purchased its first EUV lithography system in 2017 for <7nm nodes, but didn't decide to use them until recently.
- The company’s poor planning and execution in adopting EUV lithography technology initially put it seven years behind its competitors.
- To date, however, Intel’s ambitious goal of “five nodes in four years” is on tracK.
- Intel’s AI chip output is dismal compared to competitors like AMD and Nvidia, raising concerns about its competitiveness in the market.
In my February 13, 2024 Seeking Alpha article entitled Intel’s Secret Weapon, I discussed Intel’s (NASDAQ:INTC) opportunities for the company’s foundry services (IFS). I noted that the area of high-volume 3D advanced packaging manufacturing was a “diamond in the rough” for the company following the announcement that TSMC will supply Intel with 5,000 Foveros wafers per month, generating potential packaging revenues of $614.29 million per year. I also forecast that Intel’s Foveros packaging technology is expected to generate 5% of the company’s overall revenues of $68 billion in 2026.
In the first quarter of 2024, Intel realigned its business operating model and “Intel Foundry” became a newly established operating segment that includes foundry technology development, foundry manufacturing and supply chain, and foundry services, which was formerly named IFS.
In light of this, and following Intel’s Q1 2024 earnings call on April 25, I wanted to extend my analysis of Intel Foundry, focusing not on advanced packaging, but on the ability of the company to compete with Taiwan Semiconductor (TSM) (TSMC) and Samsung Electronics (OTCPK:SSNLF) in the sub-7nm node chip production.
Recent Earnings Results
Intel reported a revenue of $12.7 billion for the first quarter of 2024, achieving a 9% increase compared to the same period last year. The company’s gross margin reached 45.1%, which was 60 basis points higher than expected. Earnings per share stood at $0.18, exceeding forecasts by $0.05, attributed to effective operating expense management and robust inventory sell-through.
However, the operating cash flow was negative at $1.2 billion, with net capital expenditures totaling $5 billion, leading to an adjusted free cash flow of negative $6.2 billion. Dividends paid during this quarter amounted to $0.5 billion.
Despite these positive figures exceeding revenue and earnings estimates, Intel’s stock fell more than 9% in after-hours trading. This decline was driven by the company’s projection of weaker-than-anticipated revenue for the upcoming second quarter. Intel provided a weaker-than-expected outlook for the coming quarter, projecting revenues to be in the range of $12.5 billion to $13.5 billion, which falls short of the consensus estimate of $13.61 billion. The company also anticipates an EPS of $0.10, significantly below the analyst consensus of $0.25 per share.
Challenges in Intel’s Five Nodes in Four Years Plan
Intel first publicly discussed its “five nodes in four years” plan during the Intel Accelerated webcast event held on July 26, 2021. This event marked a significant moment for Intel as it outlined its path forward in process technology and product innovations under the leadership of CEO Pat Gelsinger, who aimed to restore Intel’s leadership in the semiconductor industry. The announcement included detailed information about each of the process nodes planned, the new technologies involved, and how Intel expected to implement these changes to catch up with and potentially surpass its competitors in semiconductor manufacturing.
Intel technologists described the following roadmap with the new node names and the innovations enabling each node according to the July 26, 2021 press release:
- Intel 7 delivers an approximately 10% to 15% performance-per-watt increase versus Intel 10nm SuperFin, based on FinFET transistor optimizations. Intel 7 will be featured in products such as Alder Lake for client in 2021 and Sapphire Rapids for the data center, which is expected to be in production in the first quarter of 2022.
- Intel 4 fully embraces EUV lithography to print incredibly small features using ultra-short wavelength light. With an approximately 20% performance-per-watt increase, along with area improvements, Intel 4 will be ready for production in the second half of 2022 for products shipping in 2023, including Meteor Lake for client and Granite Rapids for the data center.
- Intel 3 leverages further FinFET optimizations and increased EUV to deliver an approximately 18% performance-per-watt increase over Intel 4, along with additional area improvements. Intel 3 will be ready to begin manufacturing products in the second half of 2023.
- Intel 20A ushers in the angstrom era with two breakthrough technologies, RibbonFET and PowerVia. RibbonFET, Intel’s implementation of a gate-all-around transistor, will be the company’s first new transistor architecture since it pioneered FinFET in 2011. The technology delivers faster transistor switching speeds while achieving the same drive current as multiple fins in a smaller footprint. PowerVia is Intel’s unique industry-first implementation of backside power delivery, optimizing signal transmission by eliminating the need for power routing on the front side of the wafer. Intel 20A is expected to ramp in 2024. The company is also excited about the opportunity to partner with Qualcomm using its Intel 20A process technology.
- 2025 and Beyond: Beyond Intel 20A, Intel 18A is already in development for early 2025 with refinements to RibbonFET that will deliver another major jump in transistor performance. Intel is also working to define, build and deploy next-generation High NA EUV, and expects to receive the first production tool in the industry. Intel is partnering closely with ASML to assure the success of this industry breakthrough beyond the current generation of EUV.
Intel’s ability to meet its “five nodes in four years” plan is a topic of considerable interest and debate in the semiconductor industry. The plan is ambitious and hinges on several factors, including technological innovation, execution efficiency, and market conditions.
Technological Advancements
Intel has outlined significant advancements in technology with each node, particularly with the adoption of extreme ultraviolet lithography (EUV) in Intel 4 and the introduction of new transistor architectures like RibbonFET in Intel 20A. Successfully developing and implementing these technologies will be crucial. Historically, Intel has faced challenges with node transitions (notably the move from 14nm to 10nm), so the company’s ability to handle multiple, successive transitions efficiently will be tested.
Manufacturing Execution
Intel’s roadmap not only involves complex new technologies but also requires scaling them up to high-volume manufacturing within very tight timelines. The company’s recent expansion into new facilities and investment in manufacturing capacity signal a strong commitment to meeting these goals.
Poor Planning and Execution at Small Nodes
Intel CFO David Zinsner said in a Press Release in conjunction with its Q1 2024 earnings call:
“Our new foundry operating model, which provides greater transparency and accountability, is already driving better decision-making across the business. Looking ahead, we expect to deliver year-over-year revenue and non-GAAP EPS growth in fiscal year 2024, including roughly 200 basis points of full-year gross margin improvement.”
But here’s the problem. Intel was “a day late and a dollar short.” In order to operate this foundry and compete with Taiwan Semiconductor (TSM) (TSMC) and Samsung Electronics (SSNLF) at the sub-7nm node, Intel needs EUV lithography equipment from ASML (ASML). EUV lithography is the only technology capable of making chips at the 5nm node and below.
But, Intel purchased it first EUV system in 2017 and poor execution using DUV immersion lithography at 10nm caused them to shelve the systems.
Now, Intel thinks it can catch up to its competitors even though it is seven years behind in production of chips using EUV, and in this article I present a deep-dive analysis of Intel and its EUV roadmap.
Dismal Output of AI Chips
Intel CEO summed it up clearly in the earnings call:
“Our Gaudi 3 launch gave us a strong offering to improve our position in accelerated computing for the data-center and cloud. We now expect over 500 million in accelerated revenue in second half of 2024 with increasing momentum into 2025 based on Gaudi 3’s vastly superior TCO as well as our own expanding supply.”
This lackluster guidance for its Gaudi 3 artificial intelligence accelerator of $500 million in revenues compares to Advanced Micro Devices (AMD) which projects that its Instinct MI300 series will generate approximately $4 billion in these chips in 2024, up from $3.5 billion in revenue forecast made in January.
Even that is a modest amount compared to Nvidia’s data center revenue of $18.4 billion in fiscal Q4 2024, up 27% sequentially and up 409% year-over-year, driven by the NVIDIA Hopper GPU computing platform along with InfiniBand end-to-end networking.
Intel’s EUV Lithography Plans
Intel CEO Pat Gelsinger remarked in the company’s New Segment Reporting Webinar Conference on April 2, 2024:
“And even though we helped invent it, we were slow to adopt EUV. We were slow to adapt to the step-up in capital intensity and this fundamental shift to a foundry model.
As we went through our stumble of not embracing EUV and Intel 7, this allowed the industry to catch up. But the cost and complexities of EUV resulted in a flattening of the curve for the entire industry. We have now broken through that EUV wall and turned the corner toward High-NA.”
In the first sentence quoted above, what he didn’t explain with his “we helped invent it,” is that on July 9, 2012, Intel announced it would invest $4.1 billion in ASML (ASML). According to an article in Financial Times:
“Intel has announced a $4.1bn investment in Dutch semiconductor equipment maker ASML in a sign of the industry’s anxiety about maintaining the pace of chip miniaturisation over the next decade.
The world’s biggest chipmaker is committing $1bn to ASML’s research and development programme as well as buying a 15 per cent equity stake in the company for $3.1bn.
Intel’s money will help accelerate the development of extreme-ultraviolet (EUV) lithography, a new manufacturing technique that equipment makers have struggled to perfect, but which is seen as the key to continuing to produce smaller chips.”
What does this mean, and what does it tell readers of this article? Evidently, Gelsinger made the decision one year ago to forego the adoption of ASML’s EUV lithography systems. Intel eventually reversed this decision, opting to invest in the EUV technology, aimed at bolstering the foundry business, with the goal of reaching a break-even point within approximately three years.
But there are several important issues that need to address:
First: according to my sources, back in 2017, five years after Intel invested $4.1 billion in ASML’s EUV program, Intel purchased three EUV systems.
Intel’s purchase in 2017 were its first, but competitors Taiwan Semiconductor Manufacturing (TSM) (TSMC) had purchased two systems in 2016 and three 2017. Samsung Electronics (SSNLF) had purchased one system in 2016 and three in 2017.
Back in 2017, ASML’s EUV systems were selling for $111 million each, so Intel spent $333 million. These systems have been sitting idle and depreciating for six years when Gelsinger decided not to use them!
ASML sold a total of 11 EUVs in 2017, one model 3350B introduced in 2015 and 10 model 3400B introduced in 2017.
Second: in 2018, TSMC became the first foundry to start 7nm FinFET (N7) volume production. But it was made without EUV. It wasn’t until its 7nm FinFET plus (N7+) what it used its EUV foundry process, and then moved to 6nm and 5nm when it entered volume production in 2019.
I had pointed out in a May 18, 2022 Seeking Alpha article entitled Applied Materials: SMIC Move To 7nm Node Capability Another Headwind, that this achievement was done without EUV, because EUV systems are sanctioned to China by the U.S. and The Netherlands.
Intel’s challenges have compelled it to outsource the production of some of its crucial processors. The company currently sources approximately 30% of its silicon wafers externally. However, by enhancing its technology, particularly through the adoption of EUV lithography, Intel aims to internalize a greater portion of its production process.
Third: TSMC and Samsung initiated production of 7nm nodes shortly after installing the EUV systems, unlike Intel. As a result, Intel started EUV production seven years behind the EUV learning curve.
Table 1 shows that in 2020, Intel was still at the 10nm node using DUV while TSMC and Samsung were at 5nm using EUV.
What did Intel do with the EUV systems? Following the EUV purchases, Intel fitted three development fabs with EUV capability and one production fab which had the EUV systems installed in 2022. As per CEO Gelsinger’s comments, this production capability has been resurrected.
But in 2024, Intel continues to rely on TSMC for its processors. For example, during a press and analyst briefing after the IFS Direct 2024 event, Intel CEO Pat Gelsinger announced that TSMC is set to manage the production of Intel’s Arrow and Lunar Lake chips, encompassing CPUs, GPUs, and NPUs, throughout 2024. These components will be manufactured utilizing TSMC’s N3B process, marking the official debut of the highly anticipated Intel notebook platform, fulfilling years of expectation from the industry and consumers alike for CPU orders.
On a positive note, Intel has gone full throttle on EUV. Intel has purchased ASML’s next-generation High-NA EUV system. These will enable Intel to delineate patterns smaller than standard EUV systems without multiple patterning processes using deposition-etch equipment.
Investor Takeaway
History of Delays
Intel’s problems go back several years before that, according to ex-Intel principal engineer Francois Piednoël. He noted that Apple pulled the trigger as far back as 2015, after it saw how “buggy” the Skylake CPU and platform were. According to him, Skylake’s wretched quality assurance (QA) process caused Apple to turn away from Intel and explore its own options. Piednoël left Intel in 2017 after serving as a principal engineer and performance architect for 20 years, working on CPUs from the Pentium III to the 6th-gen Core i7.
Problems at Intel were also aggravated by 10nm delay after 10nm delay. Intel originally expected to ship 10nm chips in 2015. Then, it slipped to 2018. Then, it slipped to “holidays, 2020.”
These repeated setbacks in achieving the 10nm milestone underscored the operational and technological hurdles Intel faced, further impacting its competitive position and industry standing.
Five Nodes in Four Years is Progressing
Intel CEO Pat Gelsinger’s ambitious plan from 2021, aiming for the development of five new semiconductor nodes within four years, is progressing as scheduled.
- Intel CEO Pat Gelsinger’s plan from 2021 remains on track, aiming for five new semiconductor nodes within four years.
- Intel 7 and Intel 4 nodes are already in the market, with Intel 3 ready for high-volume manufacturing.
- The upcoming 20A (2nm) and 18A (1.8nm) nodes are on schedule, set to be the industry’s first with Intel’s PowerVia technology for optimized backside power delivery and RibbonFET gate-all-around (GAA) transistors.
- Clearwater Forest chip design is finalized and ready for production, marking Intel’s first high-volume 18A chip. Clearwater Forest integrates CPU tiles fabricated on the 18A node with an Intel 3 base die using 3D Foveros packaging technology, including additional cache.
Furthermore, the 3D Foveros packaging technique, critical in this design, is particularly vital for future chips that utilize HBM4 memory, as it ensures optimal signal integrity through an active base die. This technology is set to play a crucial role in the next generation of AI chips, which demand substantial memory bandwidth.
In the first quarter of 2024, Intel reported its foundry operations as a distinct business unit, aligning with its goal to become a key foundry market player by 2030. The company’s internal product divisions will interact with the foundry as if it were an external entity, fostering a customer-supplier relationship. To facilitate this change, Intel has adjusted its past financial reports to reflect the new structure, as shown in Table 2.
Intel Foundry is Unprofitable
Currently, the foundry business is significantly unprofitable, a situation attributed to its internal revenue focus, substantial investments in manufacturing technology and infrastructure, and reduced chip demand following the post-pandemic PC market downturn.
However, CEO Gelsinger in the Webinar noted and show in Table 2:
“Intel Foundry is going to drive considerable earnings growth for Intel over time. 2024 is the trough for foundry operating losses. We’ve committed to being the number 2 foundry by the end of the decade. And between now and then, we’ll hit breakeven operating margin about midway through that and then driving operating margin improvement through the period.”
Despite Intel’s projections that its foundry segment would break even around 2027 and achieve a 30% adjusted operating margin by 2030, I remain pessimistic about the company’s prospects based its history and on the fact that Owning and Operating an EUV process does not guarantee success as a foundry when competitors TSMC and Samsung are seven years ahead in the EUV learning curve.
At the same time, these ambitious goals of future margins are still lower than Intel Products margins. According to Table 2 above, Gross Margins are 3X larger for Intel Products compared to Intel Foundry at Midterm. In 2030, the target model will still be 1.5X larger. CFO Zisner noted in the Webinar:
“I’m particularly excited to talk about our new operating model in more detail, specifically to highlight how this change will help drive profitability improvements for both Intel Foundry and Intel Products as we drive the overall corporate P&L to our target of 60% gross margin and 40% operating margin. While the recast of our reporting segments does not change our consolidated results, it does provide significantly better transparency and accountability, which will lead to better decision-making and improved profitability over time.”
I’m not sure how he arrives at the analysis of 60% and 40% for Intel Consolidated when Intel Foundry of just 40% and 30% doesn’t weigh down Consolidates, unless he thinks Intel Foundry will be a small component of Consolidated and not impact financial metrics.
My Rating
I rate Intel a Hold. As I noted above, Intel has outlined significant advancements in technology with each node, particularly with the adoption of extreme ultraviolet lithography (EUV) in Intel 4 and the introduction of new transistor architectures like RibbonFET in Intel 20A.
Successfully developing and implementing these technologies will be crucial. Historically, Intel has faced challenges with node transitions (notably the move from 14nm to 10nm), so the company’s ability to handle multiple, successive transitions efficiently will be tested.
Analyst’s Disclosure: I/we have no stock, option or similar derivative position in any of the companies mentioned, and no plans to initiate any such positions within the next 72 hours. I wrote this article myself, and it expresses my own opinions. I am not receiving compensation for it (other than from Seeking Alpha). I have no business relationship with any company whose stock is mentioned in this article.
Seeking Alpha’s Disclosure: Past performance is no guarantee of future results. No recommendation or advice is being given as to whether any investment is suitable for a particular investor. Any views or opinions expressed above may not reflect those of Seeking Alpha as a whole. Seeking Alpha is not a licensed securities dealer, broker or US investment adviser or investment bank. Our analysts are third party authors that include both professional investors and individual investors who may not be licensed or certified by any institute or regulatory body.
This free article presents my analysis of this semiconductor equipment sector. A more detailed analysis is available on my Marketplace newsletter site Semiconductor Deep Dive. You can learn more about it here and start a risk free 2 week trial now.